« 2015年12月 | メイン | 2016年2月 »

2016年1月31日 (日)

変調性能確認

<カテゴリ AM送信機(PWM方式)

変調部とRF部が完成しましたので、電源電圧13.8Vの状態で、AM送信機としてまとめ、変調の度合いを確認する事にしました。 出力はLPFを通った後4.5W出ています。

Amtx_comp

左は、コの字の形に曲げたアルミシャーシの上に、変調部、RF部、及び送信のスタンバイスイッチやマイクジャックを設け、一応送信ON/OFFが出来るようにしたものです。 送信のON/OFFはできますが、受信機の制御まではまだ出来ていません。 実際にON AIRするまでには、追加予定です。

ひとつのシャーシにまとめるに当たり、変調器、水晶発振器など前段の部分は実験用のシリーズ型安定化電源から電源を供給し、RFと変調器のファイナル部分はKENWOODのPW18-3ADという、れっきとした工業用電源から供給していました。 キャリアを無変調でダミー抵抗に送信し、それをTS-850SをAMモードにして受信すると、すさまじいハム音です。しかもかなり高調波も含まれています。 そこへ、ダミー抵抗にオシロを接続して変調波形をモニターしようとすると、このハム音がさらに大きくなります。

困りはてて、再度分解して、電源回路に電解コンデンサを追加したりしましたが、一向に改善しません。 KENWOODのDC電源の+/-両端にオシロをつなぎ、ゲインを最大にすると、かすかに方形波が見えますが、それが変調器に混入している訳ではなさそうです。 とりあえず、この電源を止めて、いつも使っているFT-991用のDAIWAのDC電源に交換して見ました。 すると、ハム音は画期的に改善しました。 工業用電源は選択可能な電流リミッターやデジタル表示の電圧、電流計や、プリセット機能など、回路検討時は大変便利なものですが、自身のノイズ対策がかえってGNDラインをノイズでフローティングするようになってしまうようです。

ファイナルの電源をFT-991用にしても、ハム音は完全にゼロでは有りません。 そこで、前段に接続されているアナログ電源をはずし、前段もFT-991用の電源から供給するようにしてやると、きれいにハム音が消えました。 ふたつのACトランスを介した電源では、ハムの誘導ノイズが消えないようです。

Music_mod

PCのヘッドフォーン端子から音楽信号を入力できるようにしてテストしてみると、懐かしいAMラジオの音がTS-850Sのスピーカーから聞こえてきました。 左の波形は音楽で変調されたキャリアです。 ピークで90%くらいまで変調がかかっております。

音楽はスペクトルの範囲が比較的狭い昭和の音楽ほど良く聞こえました。 そして、歪感は全くありません。 計画当初、変調後のRF信号を検波して、PWMアンプの差動入力端子へ負帰還をかけようと考えていましたが、その必要は全くないようです。

変調器ファイナルのデュティを可変できるようにTLP552のLED電流を調整する半固定抵抗VR3を追加しました。 これで、変調段のLPF出力ポイントでのDC電圧を供給電源の電圧の1/2に調整しようとしましたが、半固定を最少から最大まで可変しても、この電圧は0.数ボルトしか変わりません。 470Ω固定でも問題ないようです。 また、RFが変調回路に回り込んで、波形歪を起こす対策として、OP-AMP入力の+/-端子間に1608タイプのチップコンデンサ1000PFを追加しました。 

実験は13.8Vの電源で行いましたが、最終的にはこの電源電圧を28V以上にアップする予定ですので、MOS-FETによるシリーズレギュレーターを追加しました。

28V以上のDC電源はジャンクのTS-930Sの電源から取り出す事にしました。このTS-930Sは動作しませんが、電源だけは生きています。 整流直後の電圧は40Vくらいあり、これをシリーズレギュレーターで28Vに安定化しています。 しかも、28Vで10A以上の容量がありますので、今回のAM送信機の電源としては、ちょうど良さそうです。

FETによるレギュレーターを実装し、電源電圧28Vで送信テストを行ったところ、20W出力され、成功と思いきや、90%の変調にすると、1分くらいで送信不能になりました。 直接の原因はRF部のファイナルSTF19NF20のドレイン、ソース間ショートですが、その原因は熱暴走と思われます。 今まで25W出力のテストもしてきましたが、それはせいぜい30秒以内の動作でした。 今回のように1分近く動作させた事がありませんでしたので、シリコングリスも塗布していない事によりFETが熱破壊したと思われます。 そして、当然変調段のFKI10531もドレインソース間がショートしていました。

また、追加したFETのレギュレーターは異常発振を起こし、その上、7MHzのRF信号が混入し、電圧制御不能なっていました。 このレギュレーターはリップルリジェクションが非常に良いことで知られ、LDOという名称で、もてはやされてていますが、負荷側の変動や、高周波妨害に対しては極端に弱いようです。 7812のようなバイポーラの3端子レギュレーターに変更します。  

また、壊れたRFファイナルを修理し、元の状態に戻すまでかなり時間がかかりそうです。

失敗した回路図 AMTX_10.pdfをダウンロード

熱暴走を少しでも対策する為に、さらに、効率アップが出来ないかも再検討する事にします。

放熱設計 へ続く

INDEXに戻る

2016年1月17日 (日)

E級アンプ 出力アップ検討

<カテゴリ AM送信機(PWM方式)

AM送信機のRFユニットの効率が、WEB上で紹介されている例に比較して、かなり落ちる原因を調べる目的で、RFユニットだけの検討を行いました。

Eamp_2a

 上が検討したE級アンプの回路で、コイルはその時の出力に応じて選択しています。

Etest

Vdの波形をオシロでモニターしながら、VC1とVC2を交互に回し、最良ポイントを探しますが、教科書通りの波形に近くなるように調整する事により最大効率ポイントが見つかります。この効率最大ポイントと出力最大ポイントは異なります。どちらかと言えば効率優先です。また、このVdの波形がきれいになる為にはゲートをドライブするデューティも大きく影響します。 従い、回路図には出ていませんが、VXOのバッファーアンプのベースバイアスを調整して、ドライブのデューティが可変できるようにしてあります。 実験開始時は、ファイナルのトランスにTS930S用の入力トランスを使用していましたが、10Wくらいで、ほんのり暖かくなるので、また出力トランスに戻しました。

この検討の途中で効率90%超の数値が時々出る調整ポイントがある事が判りました。しかし、その時のVdの波形はオシロのトリガが安定しない程、寄生振動を伴った波形で、LPFを通った後もFM成分とAM成分を持ったかなり汚い信号になっていました。 E級アンプはオシロが手元にある場合のみ自作できる回路かも知れません。

このようにして、2SK3234とFKI10531で最良ポイントを求めた結果は以下の通りです。

Efet1 2SK3234の場合、Vdを13.8Vに固定して、コイルを変えて最適ポイントを探したものです。10W以上の出力が出るようにコイルを小さくすると、効率が50%を切りますので、10W以上の検討はしていません。

一方、FKI10531の場合、13.8Vで20Wくらいの出力になるようコイルを選択した後、調整ポイントは動かさずにVdを18.4Vや9Vに変えたものです。 18.4Vで40W出て効率も72%となっています。このFETの場合、最高効率が得られるVdはもっと高い電圧かも知れませんが、DC電源の電圧がこれ以上上がらないのでテスト出来ていません。 ちなみに、この時のVC1の値は170PF、VC2は700PFでした。

そして、写真にもあるように、バリコンを接続して、最適容量を探し、そのバリコンと同じ容量の固定コンデンサに置き換えても、バリコン使用時と同じ状態になりません。 バリコンまでのリード線がもつインダクタンスや、図体がでかいことによる浮遊容量の影響が無視できないようです。 以後、面倒でも固定コンデンサを付けたり外したりして検討する事にしました。

これらの結果から、FETのスペックと、このE級アンプの性能についての関連性を調べてみる事にしました。

Fet_spec

 上の表は手元にあるFETのスペックを抜粋したものです。 限界FREQというのは私が勝手に作ったデータでtd(on),tr,td(off)及びtfの合計値の逆数で、基本的にはこの周波数以上では正常にスイッチングしないという周波数です。 ただし、個々のFETで条件が異なり、実際に使用している条件はこれ以下の環境という事もあり、表示された周波数より上の周波数でもスイッチング動作はしております。 従い、比較したときの目安として気にしたらよいデータと考えます。 また、個々のタイムスペックはメーカー発表のノーマル値ですので、実際はこれ以下の周波数になる事もあります。

このようにして眺めてみると、サンケンのFKI10531はON抵抗を含め最良の数値を示しています。  他の3種類の限界周波数は似たり寄ったりで、バラツキによっては逆転するくらいの実力ですが、効率に関係するオン抵抗の値がそのまま表れている感じです。  また、データとして残していませんが、限界周波数も最も低く、ゲート入力容量が最も大きい2SK2382は、最大出力も効率も全くダメでした。

ただ、FKI10531にも欠点があります。それはゲートの入力容量がこの中では比較的大きいことです。これは、ドライバーICの負担が大きく、TC4422がアッチッチになる原因のようです。そして最大の欠点は耐圧が100Vしかないという事でしょう。  40W出力のときのVdmaxは75Vでした。AM送信機の場合、ピーク電力を確保する為にVdを上げますので、これがネックになります。

8vvd

一応、FKI10531 1石で定格出力10W(ピーク出力40W)のAM送信機を作る事は出来る事は判りましたが、激しいリンギングの為、動作が安定しません。

左は、FKI10531を9Vで動作させた時のVdの波形です。 ピーク部分で凹みが出来ていますが、長時間送信していると、温度が変わり、次第に波形が崩れます。これは使用しているコンデンサの温度特性が大きく影響し、発振寸前の帰還状態がクリチカルになっているのが原因のようです。

色々検討している内に、FKI10531を2個もショート状態に壊してしまいました。 また、リンギングは出力インピーダンスが小さくなるほど出易いようです。 そこで、この際、FETも変更し、電源電圧を上げられるE級アンプを再設計する事にしました。

Fet_spec2_2 

ところで、私の手元に有った、IRF640はIR製ではなく、セカンドソースだったようです。 WEBで紹介されているIR(インターナショナル レクティファイアー)製の場合、私が勝手に定義した限界周波数がリーズナブルの周波数を示すようです。 上の表はIRオリジナルのIRF640のスペックを抜粋したもので、納得出来る限界周波数を示しています。

そこで、IR製のIRF640を手配しようと考えたのですが、入手できるのはTO-220でドレインがそのままフィンにつながっている物しか有りませんでした。 出来たら、フルモールドパッケージのFETが無いかRSで物色しました。 結果、IRF640と似たようなスペックを持つSTマイクロのFETが見つかりました。 上の表にその仕様の抜粋を示します。  STF19NF20は、TO-220Fパッケージで絶縁シート無しで放熱板にビス止めできます。 このほど、このFETを手配出来ましたので、同時に入手したTC4452を使い、下記のように回路を改造しました。 TC4452はVdd端子がフィンに接続されていますので、絶縁シートと絶縁ワッシャは必要です。  (後日、フルモールドパックを選択したのは間違いだったと後悔します。 面倒でもマイカシートで絶縁し、シリコングリスたっぷりのドレインむき出しのFETの方が良いです)

OSCバッファーとFETドライバーの間に挿入されていたインバーターがDC直結になっており、OSC段の異常でFETのゲートがHになりっぱなしという現象が再現しましたので、OSCバッファの出力をコンデンサでDCカットし、インバーターをC-MOSに変えました。C-MOSの入力にはプロテクトのダイオードが実装されていますので、このダイオードで入力信号が0Vでクランプされ、うまく動作します。 ただし、そのままでは、入力が無いとき、FETゲートは常にHとなりますから、もうひとつインバーターを入れてあります。

Eamp5

Rfunit5

また、基板上のレイアウトも変更し、TC4452とSTF19NF20は基板上に配置した放熱板に固定し、リンギング対策としてFETの出力ラインは5mm幅の短冊状に切った厚さ0.3mmの銅板で配線し、極力浮遊インダクタンスを削減しました。

左がその基板ですが、TC4452とSTF19NF20のパラレルドライブが可能なように配置してありますが、今はシングルドライブです。

この状態で、電源電圧13.8Vのとき、15Wの出力が得られ、効率は63%くらいです。

TC4452の消費電流は200mAくらいでTC4422と同じですが、FETのゲート電圧波形が気持ちだけ良くなりました。 また、このゲートドライバーも終段FETと同じ放熱板上に止めてある関係で、長時間連続送信でも安定しています。

Amtx_640hz

このRFユニットを変調器と組み合わせて見ました。 電源電圧を18.4Vにすると、無変調時の変調器DC出力は9Vとなり、RF出力は7Wとなっています。 最大変調度は、87%くらいで、電流の増加はありますが、クラニシの終端型電力計は7Wのままです。 この電力計は熱電対型ではないので、変調度が変わっても指示は変わりません。 少なくとも、マイナス変調にはなっていないようです。

 左の波形は680Hzで変調した時の波形です。 現在、RFユニットと変調ユニットを無造作に置いてある為、RFが変調器へ回り込み、波形が崩れる事もあります。 実際に組み立てる場合、配置やシールドを検討する必要があるかも知れません。

 

New_lpf0129

7MHzのLPFは計算で求めた定数のままで、特性の確認はやっていませんでしたので、出力側に50Ωのダミー抵抗をつなぎ、入力側にアンテナアナライザを接続してSWRを計ってみました。すると、7MHzでSWRが2を示します。インピーダンスは25Ω付近です。周波数を3.5MHzまで下げると、SWR1.1くらいになります。 どうやら、計算間違いがあるようです。 このLPFは再設計する事にします。

上が、新たに設計したチェビシェフLPFの定数です。 計算は下記URLで行いました。

http://gate.ruru.ne.jp/rfdn/Tools/ClpfForm.asp#

計算されたインダクタンスやキャパシタンスを実装できる訳はありませんので、自由の効かない、インダクタンスを一番近い巻き数にしておき、後は、コンデンサで微調整した結果が上の定数です。

Lpf0129

このLPFに50Ωのダミー抵抗をつなぎ、入力部分に自作のアンテナアナライザーを接続した時の周波数対SWR特性を表示させたグラフを左に示します。 SWR最少周波数が7.200MHzで1.16となっており、そこそこの特性は得られているものと考えます。 しかし、事前確認では、かなりの挿入損失が有りそうでした。

過去、いくらやっても、60%かそれ以下の効率しか出ないのは、このLPFの挿入損失の性かもしれません。 そこで、新たに作成したこのLPFでLPF有り無しの時の効率データを取ってみました。

Lpf_pwr

結果は下の表の通りで、LPFが無い場合のE級アンプの効率は74.8%とそこそこの値が出ていますが、LPF有りの場合、62.1%となり、LPFだけで、27%もロスしております。 今回のLPFはコイルにT-50-2というトロイダルコアを使ったものです。 今までのLPFは定数設定に誤りがあり、LPFのロスも30%を超えていたようです。

E級アンプの効率が悪いのは、LPFの問題であり、実験した回路で、世間並の効率は確保されている事が判りましたので、以降、単純にパワーアップに絞って検討していく事にします。

E_amp0130

左の表は、E級アンプの回路を当初のコイルとコンデンサが直列に接続されたフライホイール回路に戻し、トランスを1対3の巻き数として、最適値を探した時のデータです。 LPF無で、81.6%の効率は良く出来た方と思われます。

E0130vd

左の波形は、12.84Vで15Wの出力が得られている時のVdの波形です。 ほぼ教科書通りの波形をしています。 また、リンギング対策もかなり効いてきました。 

電源電圧を17Vくらいまで上げると、LPF付でも25Wの出力が得られていますので、 定格出力20W(ピークパワー80W)のAM送信機がこのFET1石で可能かも知れません。 これから、36VのDC電源を模索します。

Eamp0130

左は、E級アンプのファイナルとフライホイール回路及び出力トランスの部分です。 使っているコンデンサは200V耐圧のセラミックで、わざわざ温度特性がかなり良いB特を選定しましたが、パワーON直後の1分くらいは出力が変動します。 最終的には、シルバードマイカに変更しなければならないかも知れません。

左の隅に一部写っているのが問題のLPFです。これは、この送信機が完成した後、再検討する事にします。

ここまでの配線図 AMTX_8.pdfをダウンロード

変調性能確認 へ続く

INDEXに戻る

2016年1月 3日 (日)

低周波信号発生器

PICマイコンによる正弦波発生器を使いやすくする為、このコントローラーを作成しました。

DAコンバーターを利用した正弦波発生器はこちらを参照下さい。

PICで作成した正弦波発生器はDAコンバーターの駆動周期を初期設定した後、この設定値に基づき、ただひたすらに正弦波を発生し続けるもので、周波数を変更したい場合、PICをリセットする必要がありました。 また、発生する正弦波の周波数はPICのクロックや命令サイクルに依存し、きりの良い1000Hzとか3000Hzとかは不可能で、PIC内部の分周値による決まった周波数しか発生できません。 よって、発生した正弦波の周波数を計測して、なんらかの形で表示が必要でした。 その為、コントローラーには、正弦波発生器の初期値を決めて、RESETする機能、発生した低周波の周波数を計測する機能、計測した周波数を表示する機能が必要となります。

まずは、ソフト開発のデバッグにも使える、周波数(整数)をLCDに表示する機能をつくりました。 詳細はこちらを参照下さい。

正弦波発生器の初期設定とRESETは、本来、メカニカルSWで行っていたi/o操作をオープンコレクタのデジトラで行えるようにするだけですので、これは簡単に実現できます。

Sin_osc_cntler

発生した低周波の周波数を計測する周波数カウンターが必要になりますが、Hz単位での表示となりますので、カウンターのゲートタイムは1秒必要です。 最初,100msec単位で計測し、10回分を合計したカウント値を表示していましたが、周波数が低くなるほどカウント誤差が大きくなりました。 原因は100msecの間に発生するカウントの実際は小数点付になるのですが、PICの中では整数としかカウントしませんので、10回分合計しても、最大で-10の誤差が出ることでした。 やはり、表示はとろいですが1秒間待って表示しています。 周波数を可変すると、正しい周波数を表示するまで1秒以上かかりますが、周波数切り替えやLCD表示に違和感はありません。 

この回路の低周波の出力電圧は約0.78V(0dBm)ありますので、20dBと40dBのATTを設け、-20,-40,-60dBmの信号を得る事ができ、また、可変抵抗器で連続可変できるように、可変抵抗器のつまみの周りに実測値による目盛を入れ、-16dBまで1dB刻みで絞れるようにしました。

1khz_spectol

上のスペクトル画像は1KHzの出力をフリーソフトWaveSpectraで表示させたものです。第2高調波レベルが-40d以下になっていますので、なんとか1%以下の歪率は確保できました。

Sinosc_box

完成した基板やコントロール類、LCDを透明のタッパーの中に収め、単3電池8本の電池パックで動くようにしてあります。 これで、AM送信機の検討が便利になりそうです。

ソースファイル OSC_controller.cをダウンロード

配線図 OSC_schema.pdfをダウンロード

AM送信機のテストの為に使ってみました。一応基本動作はOKで、歪の確認や周波数特性のチェックは出来るのですが、送信ONにすると、周波数があさっての方に飛んでいきます。OFFにしても同じ。 マイコンの高周波妨害耐力は甚だ弱いようです。 少しでも効果があるようにと、タッパーの内側に銅箔テープを貼り、アースしたのですが、イマイチでした。

そして、AM送信機の出力を40Wまでアップしましたら、送信中に周波数変更もできない事が発生しました。 暇なら対策しますが、今はAM送信機を完成させる事の方が優先で、インターネット上で「WaveGene」というフリーソフトを入手し、PCから正弦波を供給しています。 こちらの方は、PCが誤動作しない限り大丈夫ですが、そのままでは誤動作しますので、USBマウスの根本に40mmの長さのフェライトコアを挿入し、このコアにUSBケーブルを4回も巻きつけております。

暇になりましたので、RF回り込みによる対策を行いました。 配線図とソースファイルは修正済みです。(2016年7月31日)

その後、PCのWGの利用と、パナソニック製のファンクションジェネレーターなどの入手により、この発振器はジャンク箱いきとなり、今では部品取りの材料になってしまいました。

INDEXに戻る

2016年1月 1日 (金)

LCD-TS174をPICによりドライブ

<カテゴリー:PICマイコン

「aitendo」という通販ショップで99円のLCDが売られていました。 その他の部品を含めてこのLCDを2個購入したのですが、仕様書はなし、有るのは、使用されているICの品名と、ATMELのチップ用デモソフトのみという物でした。 

今、PICマイコンで正弦波発生器を作っていますが、この周波数表示にちょうど良さそうですので、このデモソフトをPIC用に書き換え、WEB上からダウンロードしたICの仕様書を基に周波数を表示させてみる事にしました。

使用するマイコンはPIC16F1827という8bitのマイコンです。 周波数表示ですので、マイコンのクロックは7.2MHzの水晶発振で、これをPLLで4倍とした28.8MHzのメインクロックとしてあります。

回路図 LCD_demo_schema.pdfをダウンロード

オリジナルのデモソフトをこの配線図に合わせてi/o変更をした上で、XC8でコンパイルできるように書き換えました。 オリジナルの仕様では、一旦LCD全画面をクリアーした後、左側から順番に各セグメントが点灯していきます。 これをヒントに7セグの数値を表示させるコードを調査し、下記のように解析しました。

Ts147_segmap

このコード表をベースに7ケタの整数を表示するプログラムを作りました。数値以外に文字やドットがありますが、今回は使っていません。

Lcd1250disp

このLCD用のICのクロックは3.3uS以上を要求していますので、余裕を見て約6uSくらいのクロックになるようディレーを入れてあります。 また、メイン関数の170行目にwhileで無限ループを作り、以下のプログラムは実行されないようにしてあります。 この170行目のwhile文をコメントアウトすると、オリジナルデモソフトが動作します。  

また、プログラム上にはLEDが出てきますが、デバッグ用です。 さらに、RB0からの外部割込みの記述もありますが、このLCD表示については不要です。

PICkit3からHEXファイルを書き込もうとするとき、このICもVDDを5Vを指定するとエラーになります。4.875Vに設定すると問題無しです。

99円のLCDでも十分実用になります。 周波数のLCD表示が可能になりましたので、正弦波発生器のコントローラーを作る事にします。

ソースコード LCD_TS174BNLdemo.cをダウンロード

このオリジナルソースをベースにXC16でコンパイルしたところ、LCDのデータラインに信号が出ません。 色々調べた結果、下のLCD_Wr_Data()の関数の中で、

 B=B>>7; の処理を追加しないと、現状のままでは、データをi/oポートへ送る事が出来ない事が判りました。 判ってしまえば当たり前の事で、いままで動いていたのが不思議なくらいです。

void LCD_Wr_Data(unsigned char Data,unsigned char cnt) {
   unsigned char i,d,B;
    d = Data;             //Dataを一度ローカル変数に置き換える
    for (i=0;i<cnt;i++) { 
         LCD_WR=0;
         delayNop();
         B=d & 0x80;         //Dataと0x80とアンドを取った値を一度ローカル変数に置く
        B=B>>7;
         LCD_DA =B;          //LCD_DA=Data & 0x80 ではうまくいかない
         delayNop();

         LCD_WR=1;
         delayNop();
         d = d<<1;
       }
  }

INDEXに戻る